euv_电子产品世界

来源:奇亿登录地址/消息    发布时间:2024-01-29 21:13:04

据韩媒报道,韩国芯片巨头SK海力士准备打破美国对华极紫外(EUV)光刻机出口相关限制,对其中国半...

  据韩媒报道,韩国芯片巨头SK海力士准备打破美国对华极紫外(EUV)光刻机出口相关限制,对其中国半导体工厂进行技术提升改造。这被外界解读为,随着半导体市场的复苏以及中国高性能半导体制造能力提升,一些韩国芯片企业准备采取一切能够正常的使用的方法来提高在华工厂制造工艺水平。韩国《首尔经济》13日的报道援引韩国业内人士的话称,SK海力士计划今年将其中国无锡工厂的部分动态随机存取存储器(DRAM)生产设备提升至第四代10纳米工艺。对于“无锡工厂将技术升级”的消息,SK海力士方面表示“无法确认工厂的具体运营计划”。无锡工厂

  英特尔(intel)近日宣布,已经接收市场首套具有0.55数值孔径(High-NA)的ASML极紫外(EUV)光刻机,预计在未来两到三年内用于 intel 18A 工艺技术之后的制程节点。相较之下,台积电则采取更加谨慎的策略,业界预计台积电可能要到A1.4制程,或者是2030年之后才会采用High-NA EUV光刻机。业界指出,至少在初期,High-NA EUV 的成本可能高于 Low-NA EUV,这也是台积电暂时观望的原因,台积电更倾向于采用成本更低的成熟技术,以确定保证产品竞争力。Hig

  1月2日,全球光刻机龙头ASML在官网发布声明称,荷兰政府最近撤销了此前颁发给其2023年发货NXT:2050i和NXT:2100i光刻机的部分出口许可证,这将对ASML在中国内地的个别客户产生一定的影响。在声明中,ASML表示:“在新的出口管制条例下,今年(指2023年)年底前ASML仍能履行已签订的合同,发运这些光刻设备。客户也已知悉出口管制条例所带来的限制,即自2024年1月1日起,ASML将基本不会获得向中国客户发运这些设备的出口许可证。”预计此次出口许可证撤销及最新的美国出口管制限制不会对公司2023

  半导体设备制造商ASML表示,荷兰政府禁止其向中国出口部分工具。ASML表示,荷兰政府最近部分撤销了其NXT:2050i和NXT:2100i光刻系统在2023年装运的许可证。在撤销船舶许可证之前,美国政府在10月份加强了对中国先进半导体和芯片制造工具的出口管制,并在此前的规定基础上进行了进一步收紧。荷兰公司ASML制造了制造世界上最先进芯片所需的最重要机器之一。美国的芯片限制使包括ASML在内的公司争先恐后地弄清楚这些规则在实践中的含义。ASML公司该公司表示,荷兰政府禁止其制造最先进半导体的关键机器向中

  12月21日,荷兰光刻机巨头ASML通过社会化媒体宣布,其首套高数值孔径极紫外(High-NA EUV)光刻机正从荷兰Veldhoven总部开始装车发货,将向英特尔进行交付。数值孔径(NA)是光刻机光学系统的重要指标,直接决定了光刻的实际分辨率和最高能达到的工艺节点。

  周二,荷兰科技巨头ASML和三星(Samsung)签署了一项价值约7亿欧元的协议,将在韩国建设一家半导体研究厂。与此同时,韩国总统尹锡悦(Yoon Suk Yeol)结束了这次以科技为重点的访问的第一天。尹锡悦是第一位到访ASML高度安全的“无尘室”的外国领导人,这次到访荷兰的目的是在这两个全球半导体大国之间结成“芯片联盟”。他参观了ASML的城市规模设施,该公司制造先进的机器来制造半导体芯片,为从智能手机到汽车的一切提供动力。ASML和三星后来同意“未来共同”投资该设施,该设施将“使用下一代EUV(极紫

  据IBM官网消息,美国纽约州州长宣布与IBM、美光以及别的行业参与者合作,投资100亿美元在纽约州 Albany NanoTech Complex 建设下一代 High-NA EUV 半导体研发中心。IBM称,这将是北美第一个也是唯一一个拥有高数值孔径极紫外光刻(高NA EUV)系统的公共研发中心,可为开发和生产小于2nm的节点芯片铺平道路。

  11月6日消息,日本佳能一直在投资纳米压印(Nano-imprint Lithography,NIL)这种新的芯片制造技术,并计划将新型芯片制造设备的价格定在阿斯麦最好光刻机的很小一部分,从而在光刻机领域取得进展。纳米压印技术是极紫外光刻(EUV)技术的低成本替代品。佳能首席执行官御手洗富士夫(Fujio Mitarai)表示,该公司最新的纳米压印技术将为小型芯片制造商生产先进芯片开辟出一条道路。“这款产品的价格将比阿斯麦的EUV少一位数,”现年88岁的御手洗富士夫表示。这是他第三次担任佳能总裁,上一次退

  佳能在 10 月 13 日宣布,正式推出纳米压印半导体制造设备。对于 2004 年就开始探索纳米压印技术的佳能来说,新设备的推出无疑是向前迈出了一大步。佳能推出的这个设备型号是 FPA-1200NZ2C,目前能轻松实现最小线nm 的图案化,相当于生产目前最先进的逻辑半导体所需的 5 纳米节点。佳能表示当天开始接受订单,目前已经向东芝供货。半导体行业可谓是「苦光刻机久已」,纳米压印设备的到来,让期盼已久的半导体迎来一线曙光。那么什么是纳米压印技术?这种技术距离真的能取代光刻机吗?纳米压印走向台前想要

  光刻技术是指在光照作用下,借助光致抗蚀剂(又名光刻胶)将掩膜版上的图形转移到基片上的技术。其主要过程为:首先紫外光通过掩膜版照射到附有一层光刻胶薄膜的基片表面,引起曝光区域的光刻胶发生化学反应;再通过显影技术溶解去除曝光区域或未曝光区域的光刻胶(前者称正性光刻胶,后者称负性光刻胶),使掩膜版上的图形被复制到光刻胶薄膜上;最后利用刻蚀技术将图形转移到基片上。想要了解光刻技术对半导体供需稳定将会产生什么样的影响,首先要了解的是大家经常听到的 14 纳米 DRAM、4 纳米应用处理器等用语,要先说明纳米是什么意

  近日,英特尔宣布已开始采用极紫外光刻(EUV)技术大规模量产(HVM)Intel 4制程节点。据英特尔中国官微获悉,近日,英特尔宣布已开始采用极紫外光刻(EUV)技术大规模量产(HVM)Intel 4制程节点。据悉,作为英特尔首个采用极紫外光刻技术生产的制程节点,Intel 4与先前的节点相比,在性能、能效和晶体管密度方面均实现了显著提升。极紫外光刻技术正在驱动着算力需求最高的应用,如AI、先进移动网络、无人驾驶及新型数据中心和云应用。英特尔“四年五个制程节点”计划正在顺利推进中。目前,Intel 7和I

  俄罗斯企图打破艾司摩尔(ASML)先进半导体设备独占地位!俄媒消息指出,俄罗斯号称开发出可取代曝光机的芯片制造工具,甚至发下豪语,将于2028年研发出可生产7纳米芯片的曝光机,还可击败ASML同种类型的产品。俄国目前广泛使用20年前的65纳米制程,正在兴建28纳米晶圆厂。俄罗斯国际新闻通讯社(俄新社)报导,圣彼得堡理工大学研发出一种「国产曝光复合体」,可用于蚀刻生产无掩模芯片,将有利于解决俄罗斯在微电子领域的技术主权问题。科学家表示,这款芯片制造设备成本为500万卢布(约台币161万元),而另一种工具的成本未知

  DIGITAL-得益于ASML的芯片机,英特尔在爱尔兰的尖端技术生产点燃了欧洲革命。在英特尔位于爱尔兰的最新制造工厂Fab 34的洁净室里。©英特尔公司 英特尔在技术领域掀起了波澜,在爱尔兰推出了英特尔4技术的大批量生产,引发了一场欧洲革命。这标志着极紫外光刻机(EUV)首次用于欧洲大规模生产。此举巩固了英特尔对快节奏生产战略的承诺,并提升了欧洲的半导体制造能力。 这家科技巨头在爱尔兰、德国和波兰的投资正在推动整个欧洲大陆的尖端价值链。英特尔对可持续发展的执着也体现在其爱尔兰气候行动计

  美国政府计划修改对半导体技术对中国的出口限制。此举旨在加强对芯片制造中使用的工具的控制,包括人工智能(AI)中使用的芯片。这些法规将与荷兰和日本最近的法规保持一致,将限制使用光刻设备等芯片制造工具,并旨在弥补人工智能处理芯片出口限制中的一些漏洞。 这一法规的更新是在首次实施出口限制近一年后才开始的。一些报告数据显示,美国商务部一直在努力更新这些法规。据称,美国提前向中国表明了即将改变限制措施,以避免华盛顿和北京之间关系的任何潜在关系。 加强对芯片制造工具的限制 荷兰政府也对出口限

  在半导体行业,EUV一般指EUV光刻,即极紫外光刻。 极紫外光刻(英语:Extreme ultra-violet,也称EUV或EUVL)是一种使用极紫外(EUV)波长的光刻技术。 EUV光刻采用波长为10-14纳米的极紫外光作为光源,可使曝光波长一下子降到13.5nm,它能够把光刻技术扩展到32nm以下的特征尺寸。 根据瑞利公式(分辨率=k1·λ/NA),这么短的波长能够给大家提供极高 [查看详细]